Hanjun Kim  

Associate Professor
School of Electrical and Electronic Engineering, Yonsei University

Ph.D. 2013, Department of Computer Science, Princeton University

Office: Engineering Hall #3-C415
Phone: +82-2-2123-2770
Email: first_name at yonsei.ac.kr
 
 
[Home]   [Curriculum Vitae]   [Publications]   [CoreLab]   [Korean]  

Refereed International Conference Publications

DaCapo: Automatic Bootstrapping Management for Efficient Fully Homomorphic Encryption [abstract]
Seonyoung Cheon, Yongwoo Lee, Dongkwan Kim, Ju Min Lee, Sunchul Jung, Taekyung Kim, Dongyoon Lee, and Hanjun Kim
To Appear: 33nd USENIX Security Symposium (USENIX Security), August 2024.

PID-Comm: A Fast and Flexible Collective Communication Framework for Commodity Processing-in-DIMMs [abstract]
Junguk Hong, Si Ung Noh, Chaemin Lim, Seongyeon Park, Jeehyun Kim, Hanjun Kim, Youngsok Kim, and Jinho Lee
To Appear: The 51st Annual International Symposium on Computer Architecture (ISCA), July 2024.

MPC-Wrapper: Fully Harnessing the Potential of Samsung Aquabolt-XL HBM2-PIM on FPGAs [abstract]
Jinwoo Choi, Yeonan Ha, Hanna Cha, Seil Lee, Sungchul Lee, Jounghoo Lee, Shin-haeng Kang, Bongjun Kim, Hanwoong Jung, Hanjun Kim, and Youngsok Kim
To Appear: The 32nd IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM), May 2024.

Performance-aware Scale Analysis with Reserve for Homomorphic Encryption [abstract]
Yongwoo Lee, Seonyoung Cheon, Dongkwan Kim, Dongyoon Lee, and Hanjun Kim
To Appear: ACM International Conference on Architectural Support for Programming Languages and Operating Systems 2024 (ASPLOS), April 2024.
Accept Rate: 16% (28/173).

Virtual PIM: Resource-aware Dynamic DPU Allocation and Workload Scheduling Framework for Multi-DPU PIM Architecture [abstract]
Donghyeon Kim, Taehoon Kim, Inyong Hwang, Taehyeong Park, Hanjun Kim, Youngsok Kim, and Yongjun Park
32nd International Conference on Parallel Architectures and Compilation Techniques (PACT), October 2023.

ELASM: Error-Latency-Aware Scale Management for Fully Homomorphic Encryption [abstract] (USENIX Security, GitHub, PDF)
Yongwoo Lee, Seonyoung Cheon, Dongkwan Kim, Dongyoon Lee, and Hanjun Kim
32nd USENIX Security Symposium (USENIX Security), August 2023.
Accept Rate: 33% (190/569).

Occamy: Memory-efficient GPU Compiler for DNN Inference [abstract] (IEEE Xplore, Github, PDF)
Jaeho Lee, Shinnung Jeong, Seungbin Song, Kunwoo Kim, Heelim Choi, Youngsok Kim, and Hanjun Kim
Proceedings of the 60th Annual Design Automation Conference 2023 (DAC), July 2023.

Design and Analysis of a Processing-in-DIMM Join Algorithm: A Case Study with UPMEM DIMMs [abstract] (ACM)
Chaemin Lim, Suhyun Lee, Jinwoo Choi, Jounghoo Lee, Seongyeon Park, Hanjun Kim, Jinho Lee, and Youngsok Kim
2023 ACM SIGMOD International Conference on Management of Data (SIGMOD), June 2023.

Decoupling Schedule, Topology Layout, and Algorithm to Easily Enlarge the Tuning Space of GPU Graph Processing [abstract] (ACM, PDF)
Shinnung Jeong, Yongwoo Lee, Jaeho Lee, Heelim Choi, Seungbin Song, Jinho Lee, Youngsok Kim, and Hanjun Kim
31st International Conference on Parallel Architectures and Compilation Techniques (PACT), October 2022.

RTScale: Sensitivity-Aware Adaptive Image Scaling for Real-Time Object Detection [abstract] (DOI, PDF)
Seonyeong Heo, Shinnung Jeong, and Hanjun Kim
34th Euromicro Conference on Real-Time Systems (ECRTS), July 2022.

HECATE: Performance-Aware Scale Optimization for Homomorphic Encryption Compiler [abstract] (IEEE Xplore, PDF)
Yongwoo Lee, Seonyeong Heo, Seonyoung Cheon, Shinnung Jeong, Changsu Kim, Eunkyung Kim, Dongyoon Lee, and Hanjun Kim
Proceedings of the 2022 International Symposium on Code Generation and Optimization (CGO), April 2022.

Precise Correlation Extraction for IoT Fault Detection with Concurrent Activities [abstract] (ACM DL, PDF)
Gyeongmin Lee, Bongjun Kim, Seungbin Song, Changsu Kim, Jong Kim, and Hanjun Kim
Proceedings of the International Conference on Embedded Software (EMSOFT), October 2021.
Accept Rate: NaN% (/).

Fine-Grained Pipeline Parallelization for Network Function Programs [abstract] (IEEE Xplore, PDF)
Seungbin Song, Heelim Choi, and Hanjun Kim
Proceedings of the 2021 International Symposium on Code Generation and Optimization (CGO), March 2021.

Thread-Aware Area-Efficient High-Level Synthesis Compiler for Embedded Devices [abstract] (IEEE Xplore)
Changsu Kim, Shinnung Jeong, Sungjun Cho, Yongwoo Lee, William Song, Youngsok Kim, and Hanjun Kim
Proceedings of the 2021 International Symposium on Code Generation and Optimization (CGO), March 2021.

Sharing-aware Data Acquisition Scheduling for Multiple Rules in the IoT [abstract] (IEEE Xplore, DATASET, PDF)
Seonyeong Heo, Seungbin Song, Bongjun Kim, and Hanjun Kim
Proceedings of the IEEE Real-Time And Embedded Technology And Applications Symposium (RTAS), April 2020.
Accept Rate: 27% (29/107).

Real-Time Object Detection System with Multi-Path Neural Networks [abstract] (IEEE Xplore, PyTorch, Detectron, PDF)
Seonyeong Heo, Sungjun Cho, Youngsok Kim, and Hanjun Kim
Proceedings of the IEEE Real-Time And Embedded Technology And Applications Symposium (RTAS), April 2020.
Accept Rate: 27% (29/107).

Spinal Code: Automatic Code Extraction for Near-User Computation in Fogs [abstract] (ACM DL, PDF)
Bongjun Kim, Seonyeong Heo, Gyeongmin Lee, Seungbin Song, Jong Kim, and Hanjun Kim
Proceedings of the 28th International Conference on Compiler Construction (CC), February 2019.
Accept Rate: 40% (18/45).

DynaMix: Dynamic Mobile Device Integration for Efficient Cross-device Resource Sharing [abstract] (USENIX ATC)
Dongju Chae, Joonsung Kim, Gwangmu Lee, Hanjun Kim, Kyung-Ah Chang, Hyogun Lee, and Jangwoo Kim
USENIX Annual Technical Conference (ATC), July 2018.
Accept Rate: 20% (76/378).

Detecting and Identifying Faulty IoT Devices in Smart Home with Context Extraction [abstract]
Jiwon Choi, Hayoung Jeoung, Jihun Kim, Youngjoo Ko, Wonup Jung, Hanjun Kim, and Jong Kim
Proceedings of the 48th IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), June 2018.
Accept Rate: 28% (62/221).

RT-IFTTT: Real-Time IoT Framework with Trigger Condition-aware Flexible Polling Intervals [abstract] (IEEE Xplore, PDF)
Seonyeong Heo, Seungbin Song, Jong Kim, and Hanjun Kim
Proceedings of the IEEE Real-time Systems Symposium (RTSS), December 2017.
Accept Rate: 23% (31/131).

Context-Aware Memory Profiling for Speculative Parallelism [abstract] (IEEE Xplore, PDF)
Changsu Kim, Juhyun Kim, Juwon Kang, Jae W. Lee, and Hanjun Kim
Proceedings of the 24th IEEE International Conference on High Performance Computing, Data, and Analytics (HiPC), December 2017.
Accept Rate: 22% (42/184).

GPUpd: A Fast and Scalable Multi-GPU Architecture Using Cooperative Projection and Distribution [abstract] (ACM DL)
Youngsok Kim, Jae-Eon Jo, Hanhwi Jang, Minsoo Rhu, Hanjun Kim, and Jangwoo Kim
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), October 2017.

Rapid prototyping of IoT applications with Esperanto compiler [abstract] (ACM DL, PDF)
Gyeongmin Lee, Seonyeong Heo, Bongjun Kim, Jong Kim, and Hanjun Kim
Proceedings of the 28th International Symposium on Rapid System Prototyping (RSP), October 2017. Invited.

Integrated IoT Programming with Selective Abstraction [abstract] (ACM DL, PDF)
Gyeongmin Lee, Seonyeong Heo, Bongjun Kim, Jong Kim, and Hanjun Kim
Proceedings of the 18th ACM SIGPLAN/SIGBAD Conference on Languages, Compilers, Tools, and Theory for Embedded Systems (LCTES), June 2017.
Accept Rate: 23% (12/52).

FACT: Functionality-centric Access Control System for IoT Programming Frameworks [abstract] (ACM DL, PDF)
Sanghak Lee, Jiwon Choi, Jihun Kim, Beumjin Cho, Sangho Lee, Hanjun Kim, and Jong Kim
Proceedings of the 22nd ACM Symposium on Access Control Models and Technologies (SACMAT), June 2017.

Architecture-aware Automatic Computation Offload for Native Applications [abstract] (ACM DL, PDF)
Gwangmu Lee, Hyunjoon Park, Seonyeong Heo, Kyung-Ah Chang, Hyogun Lee, and Hanjun Kim
Proceedings of the 48th IEEE/ACM International Symposium on Microarchitecture (MICRO), December 2015.
Accept Rate: 21% (61/283).

Practical Automatic Loop Specialization [abstract] (ACM DL, PDF)
Taewook Oh, Hanjun Kim, Nick P. Johnson, Jae W. Lee, and David I. August
Proceedings of the Eighteenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), March 2013.
Accept Rate: 23% (44/191).

Speculative Separation for Privatization and Reductions [abstract] (ACM DL, PDF)
Nick P. Johnson, Hanjun Kim, Prakash Prabhu, Ayal Zaks, and David I. August
Proceedings of the 33rd ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), June 2012.
Accept Rate: 18% (48/255).

Automatic Speculative DOALL for Clusters [abstract] (ACM DL, PDF)
Hanjun Kim, Nick P. Johnson, Jae W. Lee, Scott A. Mahlke, and David I. August
Proceedings of the 2012 International Symposium on Code Generation and Optimization (CGO), March 2012.
Accept Rate: 28% (26/90).

A Survey of the Practice of Computational Science [abstract] (ACM DL, PDF)
Prakash Prabhu, Thomas B. Jablin, Arun Raman, Yun Zhang, Jialu Huang, Hanjun Kim, Nick P. Johnson, Feng Liu, Soumyadeep Ghosh, Stephen Beard, Taewook Oh, Matthew Zoufaly, David Walker, and David I. August
Proceedings of the 24th ACM/IEEE Conference on High Performance Computing, Networking, Storage and Analysis (SC), November 2011.

Parallelism Orchestration using DoPE: the Degree of Parallelism Executive [abstract] (ACM DL, PDF)
Arun Raman, Hanjun Kim, Taewook Oh, Jae W. Lee, and David I. August
Proceedings of the 32nd ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), June 2011.
Accept Rate: 23% (55/236).

Scalable Speculative Parallelization on Commodity Clusters [abstract] (IEEE Xplore, PDF)
Hanjun Kim, Arun Raman, Feng Liu, Jae W. Lee, and David I. August
Proceedings of the 43rd IEEE/ACM International Symposium on Microarchitecture (MICRO), December 2010.
Accept Rate: 18% (45/248).
Highest ranked paper in double-blind review process.

Speculative Parallelization Using Software Multi-threaded Transactions [abstract] (ACM DL, PDF)
Arun Raman, Hanjun Kim, Thomas R. Mason, Thomas B. Jablin, and David I. August
Proceedings of the Fifteenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), March 2010.
Accept Rate: 17% (32/181).

Refereed International Journal Publications

ComFlex: Composable and Flexible Resource Management for the IoT [abstract] (IEEE Xplore)
Gyeongmin Lee, Bongjun Kim, Seungbin Song, Seonyeong Heo, and Hanjun Kim
IEEE Internet of Things Journal, Volume 8, Number 22, November 2021.
IF=9.936, Q1 (JCR 2019)

Compiler-assisted Semantic-aware Encryption for Efficient and Secure Serverless Computing [abstract] (SelectiveCrypt, IEEE Xplore)
Bongjun Kim, Seonyeong Heo, Jaeho Lee, Shinnung Jeong, Yongwoo Lee, and Hanjun Kim
IEEE Internet of Things Journal, Volume 8, Number 7, April 2021.
IF=9.936, Q1 (JCR 2019)

Heterogeneous Distributed Shared Memory for Lightweight Internet-of-Things Devices [abstract] (IEEE Xplore, PDF)
Bongjun Kim, Seonyeong Heo, Gyeongmin Lee, Soyeon Park, Hanjun Kim, and Jong Kim
IEEE Micro, Volume 36, Number 6, November 2016.
IF=1.933, Q2 (JCR 2016)

Rapid control prototyping for robot soccer [abstract]
Junwon Jang, Soohee Han, Hanjun Kim, Choon Ki Ahn, and Wook Hyun Kwon
Robotica, 27 : 1091-1102 Cambridge University Press , Volume 27, 2009.

Refereed Workshop Publications

Liberty Queues for EPIC Architectures [abstract] (PDF)
Thomas B. Jablin, Yun Zhang, James A. Jablin, Jialu Huang, Hanjun Kim, and David I. August
Proceedings of the Eighth Workshop on Explicitly Parallel Instruction Computer Architectures and Compiler Technology (EPIC), April 2010.

Refereed International Conference Poster

Privacy Authority-Aware Compiler for Homomorphic Encryption on Edge-Cloud [abstract]
Dongkwan Kim, Yongwoo Lee, Seonyoung Cheon, Heelim Choi, Jaeho Lee, Dongyoon Lee, and Hanjun Kim
32nd USENIX Security Symposium - (Poster) (USENIX Security), August 2023.

Logic Deduplication with Decentralized Pointer Analysis in HLS for Post-Quantum Cryptography Algorithms [abstract]
Changsu Kim, Yongwoo Lee, Shinnung Jeong, and Hanjun Kim
Proceedings of the 57th Annual Design Automation Conference 2020 - (Poster) (DAC), July 2020.

Pipeline-aware Logic Deduplication in High-Level Synthesis for Post-Quantum Cryptography Algorithms [abstract]
Changsu Kim, Yongwoo Lee, Shinnung Jeong, Wen Wang, Jakub Szefer, and Hanjun Kim
Proceedings of the 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), February 2020.

JAWS: A JavaScript Framework for Adaptive CPU-GPU Work Sharing [abstract] (ACM DL, PDF)
Xianglan Piao, Channoh Kim, Younghwan Oh, Huiying Li, Jincheon Kim, Hanjun Kim, and Jae W Lee
Proceedings of the 20th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming - Poster (PPoPP Poster), February 2015.

Efficient CPU-GPU Work Sharing for Data-parallel JavaScript Workloads [abstract] (ACM DL, PDF)
Xianglan Piao, Channoh Kim, Younghwan Oh, Hanjun Kim, and Jae W Lee
Proceedings of the Companion Publication of the 23rd International Conference on World Wide Web Companion (WWW Companion), April 2014.

Refereed Domestic Publications

GPU workload balancing for CSR graph representation [abstract]
Sungjun Cho and Hanjun Kim
Proceedings of the Korea Software Congress, December 2019.

Data Plane Optimization with Pipeline Parallelism [abstract]
Seungbin Song and Hanjun Kim
Proceedings of the Korea Software Congress, December 2018.

Neural Network Optimization for GPU-based Deep Learning Applications Using Weight Matrix Rearrangement [abstract]
Juhyun Kim and Hanjun Kim
Proceedings of the KISS conference, December 2016.

IoT Gateway Architecture and Integrated Library for IoT Application Development [abstract]
Hyunjoon Park, Gyeongmin Lee, Seonyeong Heo, Hanjun Kim, and Jong Kim
Proceedings of the KISS conference, December 2015.

A Survey on Automatic Parallelism [abstract]
Kyoungju Sim and Hanjun Kim
Communications of the Korean Institute of Information Scientists and Engineers, Volume 32, May 2014.

Book Chapters

Automatic Extraction of Parallelism from Sequential Code
David I. August, Jialu Huang, Thomas B. Jablin, Hanjun Kim, Thomas R. Mason, Prakash Prabhu, Arun Raman, and Yun Zhang
Fundamentals of Multicore Software Development (ISBN: 978-1439812730)
Edited by Ali-Reza Adl-Tabatabai, Victor Pankratius, and Walter Tichy. Chapman & Hall / CRC Press, December 2011.

Patents

IoT Service Providing Method Based on Adaptive Encryption and IoT Apparatus [abstract]
Hanjun Kim, Bongjun Kim, Jaeho Lee, Seonyeong Heo, Shinnung Jeong, and Yongwoo Lee
KR Patent Number 10-2508448-0000, March 2024.

System and Method of Homomorphic Encryption Based on Scale Optimization [abstract]
Hanjun Kim, Yongwoo Lee, Seonyoung Cheon, and Dongkwan Kim
KR Patent App. 10-2024-0008916, January 2024.

Multiscale Object Detection Device and Method [abstract]
Hanjun Kim, Seonyeong Heo, and Dongkwan Kim
KR Patent App. 10-2022-0032150, March 2022. [EPO Patent App. EP22216491.5, JP Patent App. 2022-211881 and US Patent App. 18/090,869]

Scheduling Apparatus and Method based on Data Sharing between Multiple Rules in IoT Environment [abstract]
Hanjun Kim, Seungbin Song, Bongjun Kim, and Seonyeong Heo
KR Patent Number 10-2382328-0000, March 2022.

High-Level Synthesis Method and Apparatus for Hardware Optimization [abstract]
Hanjun Kim, Youngsok Kim, Changsu Kim, Shinnung Jeong, Yongwoo Lee, Sungjun Cho, and William Song
KR Patent App. 10-2022-0023420, February 2022.

Apparatus and Method for Parallelizing and Compiling Packet Processing Program [abstract]
Hanjun Kim and Seungbin Song
KR Patent Number 10-2236700-0000, March 2021.

Method for Static Analysis based on Data Dependence on Data Plane Towards Network Switch Parallelization, and Parallelization Apparatus using the same [abstract]
Hanjun Kim and Seungbin Song
KR Patent Number 10-2207775-0000, January 2021.

Write Control Method and Disk Controller for Automated Backup and Recovery [abstract]
Hanjun Kim, Seonyeong Heo, Jong Kim, and Bongjun Kim
KR Patent Number 10-21896070000, December 2019.

A Method of Compiling a Program [abstract]
Hye-Yeon Chung, Hanjun Kim, Jong-Won Lee, Changsu Kim, Seonyeong Heo, Jun-Mo Park, and Jong-Hee Yoon
US Patent Number 10,372,430, August 2019.

Heterogeneous Distributed Shared Memory For IoT Devices [abstract]
Bongjun Kim, Jong Kim, Soyeon Park, Hanjun Kim, Seonyeong Heo, and Gyeongmin Lee
KR Patent Number 10-18579070000, February 2017.

Power gating functional units of a processor [abstract]
Jaewoong Chung, Hanjun Kim, and Youfeng Wu
US Patent Number 8,954,775, February 2015.

Mobile device and method of automatically offloading native applications [abstract]
Hanjun Kim, Hyunjoon Park, and Gwangmu Lee
KR Patent App. 10-2014-0191139, December 2014.

Method, apparatus, and system for energy efficiency and energy conservation including code recirculation techniques [abstract]
Jaewoong Chung, Youfeng Wu, Cheng Wang, and Hanjun Kim
US Patent App. 13/327,683, July 2012. [WO Patent App. PCT/US2012/069,236 and CN Patent App. 201,280,069,797 ]

Theses

ASAP: Automatic Speculative Acyclic Parallelization for Clusters [abstract] (PDF)
Hanjun Kim
Ph.D. Thesis, Department of Computer Science, Princeton University, September 2013.